超大规模集成电路物理设计

出版日期:2014-6-24
ISBN:9787111462971
作者:(美)Andrew B.Kahng
页数:271页

作者简介

本书囊括了物理设计的各个方面,从基本概念开始,到网表划分、芯片规划和布局布线,最后是时序收敛,讨论了布局、布线和网表重组中的时序分析和相关最优化。本书在当今的纳米时代重新审视了芯片设计实现的基本算法体系,向读者展示了物理设计的基本算法及其在工程实例中的应用。其主要特点如下:1)系统地介绍和评价了电路设计生成芯片几何版图所用到的技术及其算法;2)强调超大规模集成电路(VLSI)的数字电路设计与算法,例如现场可编程门阵列(FPGA)的系统划分、专用集成电路(ASIC)的时钟网综合等;3)结合基础、时代挑战和最新成果,力促读者实现VLSI布局布线和性能驱动软件工具。本书是电子设计自动化领域中为数不多的精品,适合集成电路设计、自动化、计算机专业的高年级本科生、研究生和工程界的相关人士阅读。

书籍目录

译者序
原书序
前言
第1章绪论
1.1电子设计自动化(EDA)
1.2VLSI设计流程
1.3VLSI设计模式
1.4版图层和设计规则
1.5物理设计优化
1.6算法和复杂性
1.7图论术语
1.8EDA常用术语
第1章参考文献
第2章网表和系统划分
2.1介绍
2.2术语
2.3优化目标
2.4划分算法
2.4.1KernighanLin(KL)算法
2.4.2扩展的KL算法
2.4.3FiducciaMattheyses(FM)算法
2.5多级划分框架
2.5.1结群
2.5.2多级划分
2.6基于多FPGA的系统划分
第2章练习
第2章参考文献
第3章芯片规划
3.1布图规划介绍
3.2布图规划的优化目标
3.3术语
3.4布图的表示
3.4.1从布图到一个约束图对
3.4.2从布图到一个序列对
3.4.3从序列对到一个布图
3.5布图规划算法
3.5.1布图尺寸变化
3.5.2群生长
3.5.3模拟退火
3.5.4集成布图规划算法
3.6引脚分配
3.7电源和地线布线
3.7.1电源和地线网分布设计
3.7.2平面布线
3.7.3网格布线
第3章练习
第3章参考文献
第4章全局和详细布局
4.1介绍
4.2优化目标
4.3全局布局
4.3.1最小割布局
4.3.2解析布局
4.3.3模拟退火
4.3.4现代布局算法
4.4合法化和详细布局
第4章练习
第4章参考文献
第5章总体布线
5.1介绍
5.2术语和定义
5.3优化目标
5.4布线区域的表示
5.5总体布线流程
5.6单网布线
5.6.1直线布线
5.6.2连通图中的总体布线
5.6.3用Dijkstra算法找最短路径
5.6.4用A*搜索算法找最短路径
5.7全网表布线
5.7.1整数线性规划布线
5.7.2拆线重布(RRR)
5.8现代总体布线
5.8.1模式布线
5.8.2协商拥塞布线
第5章练习
第5章参考文献
第6章详细布线
6.1术语
6.2水平和垂直约束图
6.2.1水平约束图
6.2.2垂直约束图
6.3通道布线算法
6.3.1左边算法
6.3.2狗腿算法
6.4开关盒布线
6.4.1术语
6.4.2开关盒布线算法
6.5单元上布线算法
6.5.1单元上布线方法
6.5.2单元上布线算法
6.6详细布线的现代挑战
第6章练习
第6章参考文献
第7章特殊布线
7.1区域布线简介
7.2区域布线中的线网顺序
7.3非曼哈顿布线
7.3.1八向斯坦纳树
7.3.2八向迷宫搜索
7.4时钟网络的基本概念
7.4.1术语
7.4.2时钟树布线问题的提出
7.5现代时钟树综合
7.5.1构建全局零偏移时钟树
7.5.2含扰动时钟树缓冲插入
第7章练习
第7章参考文献
第8章时序收敛
8.1介绍
8.2时序分析和性能约束
8.2.1静态时序分析
8.2.2使用零松弛法进行延迟预算
8.3时序驱动布局
8.3.1基于线网的技术
8.3.2在线性规划的布局中使用STA
8.4时序驱动布线
8.4.1有界半径有界代价算法
8.4.2PrimDijkstra算法的折中
8.4.3源汇延迟的最小化
8.5物理综合
8.5.1改变门大小
8.5.2缓冲插入
8.5.3网表重构
8.6性能驱动设计流程
8.7结论
第8章练习
第8章参考文献
附录
附录A章节习题的答案
第2章:网表和系统划分
第3章:芯片规划
第4章:全局和详细布局
第5章:总体布线
第6章:详细布线
第7章:特殊布线
第8章:时序收敛
附录BCMOS单元版图举例


 超大规模集成电路物理设计下载



发布书评

 
 


 

农业基础科学,时尚,美术/书法,绘画,软件工程/开发项目管理,研究生/本专科,爱情/情感,动漫学堂PDF下载,。 PDF下载网 

PDF下载网 @ 2024