EDA技术与应用

当前位置:首页 > 教材教辅 > 大学教材教辅 > EDA技术与应用

出版社:机械工业出版社
出版日期:2008-6
ISBN:9787111242796
作者:陈新华 编
页数:332页

作者简介

《普通高等教育"十一五"国家级规划教材•EDA技术与应用》为普通高等教育“十一五”国家级规划教材,主要内容包括:EDA技术;电路设计仿真软件Pspice、Multisim8的使用方法;可编程逻辑器件的工作原理、分类及应用;硬件描述语言VerilogHDL的语法要点与设计实例;数字集成软件QuartusⅡ、仿真软件Modelsim、综合软件synplifyPro等的使用方法及设计流程;EDA技术综合设计实例。
《普通高等教育"十一五"国家级规划教材•EDA技术与应用》内容全面,注重基础,理论联系实际,突出实用性,并使用大量图表说明问题,编写简明精炼、针对性强,设计实例都通过了编译,设计文件和参数选择都经过验证,便于读者对内容的理解和掌握。
《普通高等教育"十一五"国家级规划教材•EDA技术与应用》配有免费电子课件,欢迎选用《普通高等教育"十一五"国家级规划教材•EDA技术与应用》作教材的老师登录下载或发邮件索取。
《普通高等教育"十一五"国家级规划教材•EDA技术与应用》可作为高等工科院校电子电气信息类各专业“EDA技术与应用”方面的教材或参考书,也可作为计算机仿真和计算机辅助设计的实训课程教材,还可作为广大电于设计人员的设计参考书或使用手册。

书籍目录

前言第1章 EDA技术1.1 EDA技术简介1.1.1 EDA技术的起源1.1.2 EDA技术的发展趋势及特点1.2 常用EDA软件介绍1.2.1 EDA软件的分类1.2.2 EDA软件的发展趋势1.3 EDA工程的设计流程1.4 EDA工程的设计方法习题第2章 电路设计仿真软件PSpice及其应用2.1 PSpice简介2.2 PSpice的有关要求和规定2.3 PSpice中电路的描述2.4 PSpice的主要分析仿真功能2.5 PSpice 9.1仿真平台操作及使用介绍2.6 PSpice 9.1应用设计举例2.7 OrCAD Capture仿真平台使用介绍2.7.1 OrCAD PSpice绘制电路原理图2.7.2 OrCAD PSpice电路设计2.8 OrCAD Capture仿真设计举例2.8.1 直流扫描分析的应用2.8.2 BJT单管共射放大电路设计2.8.3 CMOS单级放大电路2.8.4 PSpice的两级放大电路放大器分析2.8.5 数字电路仿真分析习题第3章 电路设计仿真软件Multisim83.1 Multisim8的特点3.2 Multisim8的基本功能3.3 Multisim8用户界面及操作3.3.1 Muhisim8的主窗口界面3.3.2 主菜单栏3.3.3 工具栏3.4 Multisim8的元器件与元器件库3.5 Multisim8的虚拟仪器及其使用3.6 电路的仿真与分析3.6.1 Muhisim8界面的设置3.6.2 创建应用电路3.6.3 电路仿真3.6.4 电路基本分析方法3.7 仿真电路的后处理3.7.1 电路的统计信息报告3.7.2 导入/导出仿真电路的信息3.7.3 后处理器3.8 Multisim8设计仿真实例3.8.1 电路原理验证仿真实例3.8.2 模拟电子电路验证仿真实例3.8.3 数字电子电路验证仿真实例习题第4章 可编程逻辑器件4.1 PLD简介4.1.1 PLD的发展4.1.2 PLD的基本结构和特点4.2 PLD的编程原理和方式4.2.1 PLD的编程原理4.2.2 PLD的编程方式4.3 PLD的分类4.3.1 根据“与”阵列和“或”阵列是否可编程分类4.3.2 根据性能分类4.4 CPLD和FPGA4 4 1 CPLD4.4.2 FPGA4.4.3 CPLD和FPGA的差别与特点4.5 Ahera公司的PLD4.5.1 Ahera公司的CPLD4.5.2 Ahera公司的FPGA习题第5章 硬件描述语言Verilog HDL5.1 Verilog HDL简介5.2 Verilog HDL的特点5.3 Verilog HDL重要的功能和概念5.4 Verilog HDL的语法规定与常规要素5.5 Verilog HDL代码的基本结构5.6 Verilog HDL的结构说明语句5.7 Verilog HDL语句的顺序执行与并行执行5.8 Verilog HDL模块的种类和描述5.9 Verilog HDL仿真语句5.9.1 Verilog HDL仿真语句介绍5.9.2 Verilog HDL仿真语句应用举例5.10 常用数字电路的Verilog HDL系统设计5.10.1 组合逻辑门电路系统设计5.10.2 时序电路系统设计习题第6章 数字集成软件Quartus Ⅱ及其应用6.1 Quartus Ⅱ简介6.2 Quartus Ⅱ的设计流程6.3 Quartus Ⅱ的设计应用6.4 时序分析6.5 基于PowerPlay Power Analyzer Tool的功耗估算6.6 调用带参数的库元件6.7 SignalTap Ⅱ嵌入逻辑分析仪6.7.1 SignalTap Ⅱ的使用6.7.2 SignalTap Ⅱ的设计举例6.7.3 波形仿真6.7.4 使用SignalTap Ⅱ嵌入式逻辑分析仪进行实时测试习题第7章 仿真软件ModelSim及其应用7.1 ModelSim简介7.2 ModelSim的特点7.3 ModelSim仿真流程7.4 ModelSim交互命令方式介绍7.5 ModelSim批处理仿真文件和工作方式7.6 ModelSim的Verilog HDL测试平台设计7.7 ModelSim仿真设计举例7.7.1 4位加法器的ModelSim仿真设计7.7.2 十进制计数器的ModelSim功能仿真设计7.7.3 十进制计数器的ModelSim时序仿真设计习题第8章 综合软件Synplify Pro及其应用8.1 Synplify Pro简介8.2 Synplify Pro的特点8.3 Synplify Pro综合设计8.4 十进制计数器的Synplify Pro综合设计应用举例8.4.1 十进制计数器前端设计8.4.2 十进制计数器的Synplify Pro综合设计8.4.3 十进制计数器后端设计习题第9章 EDA技术综合设计应用9.1 Muhisim8设计仿真应用实例9.1.1 模拟/数字混合电路系统设计仿真实例9.1.2 高频电子电路设计仿真实例9.2 基于多种EDA软件的数字电路系统设计实例9.2.1 模60计数器9.2.2 交通灯控制器9.2.3 UART数据接收发送器9.2.4 FIFO数据缓存器9.2.5 可编程并行接口8255芯片习题附录附录A 基于PSpice的仿真分析实验附录B 基于Multisim8的设计仿真实验附录C 基于Quartus Ⅱ的设计实验附录D 基于ModelSim和Synplify Pro的设计参考实验附录E Trex-C1 FPGA开发板引脚表参考文献

编辑推荐

  《普通高等教育“十一五”国家级规划教材:EDA技术与应用》是针对当前电子设计自动化(EDA)技术发展日新月异,系统设计、芯片设计和电路设计中的应用软件不断升级,设计理念、设计手段不断提高的情况而编写的。在编写上突出理论与实践相结合的风格,由浅入深地介绍了EDA技术、各种设计仿真软件的使用方法、可编程逻辑器件的概念和基本应用、EDA综合设计等内容。 《普通高等教育“十一五”国家级规划教材:EDA技术与应用》是作者多年教学改革的成果,其内容已经在多门课程中得到了实践,尤其是在山东省精品课程“数字电子技术”的教学中得到了充分的验证,证明《普通高等教育“十一五”国家级规划教材:EDA技术与应用》紧跟当前科技发展的需要,符合普通高等院校电子电气信息类各专业“EDA技术与应用”的教学要求。《普通高等教育“十一五”国家级规划教材:EDA技术与应用》既可作为教材和参考书,也可作为电子行业工程技术人员的入门读物和软件操作手册,读者可以根据自己的需要对有关内容加以组合和取舍。

前言

  本书是针对当前电子设计自动化(EDA)技术发展日新月异,系统设计、芯片设计和电路设计中的应用软件不断升级,设计理念、设计手段不断提高的情况而编写的。在编写上突出理论与实践相结合的风格,由浅入深地介绍了EDA技术、各种设计仿真软件的使用方法、可编程逻辑器件的概念和基本应用、EDA综合设计等内容。  本书是作者多年教学改革的成果,其内容已经在多门课程中得到了实践,尤其是在山东省精品课程“数字电子技术”的教学中得到了充分的验证.证明本书紧跟当前科技发展的需要,符合普通高等院校电子电气信息类各专业“EDA技术与应用”的教学要求。本书既可作为教材和参考书.也可作为电子行业工程技术人员的入门读物和软件操作手册,读者可以根据自己的需要对有关内容加以组合和取舍。  本书由陈新华教授任主编,王桂海副教授、崔建明副教授任副主编。第1、4、5、6、7、8章及附录c、D、E由陈新华编写;第2章由崔建明编写;第3章由王桂海编写;第9章由王桂海、段贵宾、王贤坤、于国苹编写;附录A、B由王桂海、崔建明编写。另外,桑圣锋、于国苹、沈国新等同学还参与了第6、7、8章有关部分的编写。  本书由陈新华教授策划和统稿,张德学博士对全书进行了审查并提出了修改意见,王立华老师对部分章节进行了审查。沈国新、桑圣锋、于国苹、焦汉明、王贤坤、陈文明等同学对相应的章节进行了检查和校对。  东南大学王志功教授担任本书主审,对书稿的大纲和内容进行了奎面的审阅和修改,提出了很多宝贵意见。另外,东南大学胡广生教授也参与了本书的审阅工作,在此深表谢意。由于编者水平有限,书中错误之处难免,恳请广大读者批评指正。  本书配有免费电子课件,欢迎选用本书作教材的老师登录。

章节摘录

  第1章 EDA技术  内容提要:本章主要介绍了EDA技术的概念、产生和发展历史,EDA技术的应用领域及其发展前景,列举并介绍了一些常用的EDA软件。  电子设计自动化(Electronic Design Automation,EDA)是从计算机辅助设计(CAD)、计算机辅助工程(CAE)等发展而来的。  1.1 EDA技术简介  EDA技术是以计算机为工作平台,以相关的EDA开发软件为工具,以大规模可编程逻辑器件(包括CPLD、FPGA、EPLD等)为设计载体,以硬件描述语言(Hardware Descrip—tion Language,HDL)为系统逻辑描述的主要表达方式,自动完成系统算法和电路设计,最终形成电子系统或专用集成芯片的一门新技术。  EDA技术研究的对象是电路或系统芯片设计的过程,可分为系统级、电路级和物理级三个层次。EDA设计领域和内容,包括从低频、高频到微波,从线性到非线性,从模拟到数字,从可编程逻辑器件、通用集成电路到专用集成电路的电子自动化设计。  1.1.1 EDA技术的起源  一般认为EDA技术的发展经历了CAD、CAE和EDA三个阶段。  1.CAD阶段  20世纪60年代之前,电子产品硬件系统设计大都采用分立元件。随着集成电路的出现和应用,硬件系统设计进入到CAD发展的初级阶段,该阶段的硬件设计大量选用中、小规模标准集成电路。20世纪70年代,由于设计师对图形符号的使用数量有限,因此传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求,就产生了一些单独的工具软件,主要用于印制电路板(PCB)布线设计、电路模拟、逻辑模拟及版图的绘制等领域。这种应用计算机进行辅助设计的时期,就是CAD阶段。例如:PCB布线软件TANGO,用于电路模拟的SPICE,以及后来的集成电路版图编辑与设计规则检查系统等软件,都是这个时期的产品。这时的设计方法和工具软件,虽然也可以利用计算机将设计人员从大量繁琐、重复的计算和绘图工作中解脱出来,但对于复杂的电子系统设计,当时的EDA软件设计能力和作用依然有限,主要是功能单一且相互独立,还不能提供系统的仿真与综合。  ……

图书封面


 EDA技术与应用下载



发布书评

 
 


 

农业基础科学,时尚,美术/书法,绘画,软件工程/开发项目管理,研究生/本专科,爱情/情感,动漫学堂PDF下载,。 PDF下载网 

PDF下载网 @ 2024