EDA技术教程

当前位置:首页 > 工业技术 > 电子通信 > EDA技术教程

出版社:人民邮电出版社
出版日期:2010-5
ISBN:9787115223395
页数:202页

作者简介

《EDA技术教程》从实际应用的角度出发,全面系统地介绍了EDA技术和硬件描述语言VHDL,将VHDL的基础知识、编程技巧、实用方法与实际工程开发技术在EDA软件设计平台上很好地结合起来,使读者能够通过《EDA技术教程》的学习迅速了解并掌握EDA技术的基本理论和工程开发实用技术,并为后续的深入学习和发展打下坚实的理论与实践基础。
《EDA技术教程》第一部分主要介绍了可编程逻辑器件和EDA设计技术的基本知识,然后讨论了可编程逻辑器件的基本原理和Altera公司的主流CPLD和FPGA器件。第二部分主要介绍了EDA设计技术中的VHDL设计方法,然后重点讨论了Altera公司的EDA开发工具QuartusⅡ7.2。第三部分通过大量的应用实例来讨论可编程逻辑器件和EDA设计技术的结合应用。《EDA技术教程》内容丰富、技术新颖、视点独特、实用性很强,可以使读者快速、全面地掌握可编程逻辑器件和EDA设计技术。书中列举的VHDL示例,都经编译通过或经硬件测试。
《EDA技术教程》主要面向高等院校本、专科EDA技术和VHDL语言基础课,推荐作为微电子、电子工程、通信、自动化、计算机应用技术、仪器仪表等专业的授课教材或主要参考书,同时也可以作为从事电子系统设计的设计工程师和科研人员的技术参考书。

书籍目录

第1章 概述  1.1 EDA技术的发展概况   1.1.1 EDA的概念和发展历史   1.1.2 PLD的发展概况  1.2 可编程逻辑器件概述   1.2.1 简单PLD的基本结构   1.2.2 CPLD的基本结构   1.2.3 FPGA的基本结构   1.2.4 可编程逻辑器件的主要厂商   1.2.5 Altera公司可编程逻辑器件综述   1.2.6 ISP  1.3 可编程逻辑器件的设计   1.3.1 一般设计流程   1.3.2 基本设计方法   1.3.3 EDA的软件系统  1.4 EDA的应用及发展趋势  小结  习题 第2章 硬件描述语言VHDL  2.1 VHDL简介   2.1.1 VHDL的发展及特点   2.1.2 传统设计与VHDL设计对照  2.2 VHDL程序的基本结构   2.2.1 VHDL程序的基本单元与构成   2.2.2 实体   2.2.3 结构体   2.2.4 库、程序包和配置  2.3 VHDL的语法要素   2.3.1 VHDL的文字规则   2.3.2 VHDL的数据对象   2.3.3 VHDL的数据类型   2.3.4 运算操作符  2.4 VHDL结构体的描述方式   2.4.1 顺序描述语句   2.4.2 并行描述语句   2.4.3 属性描述语句  小结  习题 第3章 Quartus II开发软件  3.1 Quartus II简介  3.2 Quartus II的安装  3.3 Quartus II菜单简要说明  3.4 设计输入   3.4.1 文本法   3.4.2 图形法   3.4.3 混合输入的层次化设计方法  3.5 设计项目的编译   3.5.1 项目(工程)的管理   3.5.2 运行编译器   3.5.3 观察适配结果   3.5.4 功耗分析  3.6 模拟仿真和时序分析  3.7 引脚锁定及器件编程   3.7.1 引脚锁定   3.7.2 器件编程  小结  习题 第4章 VHDL设计提高  4.1 VHDL设计逻辑电路的基本思想和方法   4.1.1 逻辑函数表达式方法   4.1.2 真值表方法   4.1.3 电路连接描述方法   4.1.4 不完整条件语句方法   4.1.5 层次化设计方法  4.2 常用逻辑电路的VHDL实现   4.2.1 基本组合逻辑电路设计   4.2.2 基本时序逻辑电路设计   4.2.3 状态机的设计  小结  习题 第5章 Quartus II使用提高  5.1 IP在Quartus II中的体现  5.2 参数化宏功能块在Quartus II的例化方法及实例   5.2.1 参数化宏功能模块的例化方法   5.2.2 参数化宏功能模块在原理图中的使用   5.2.3 参数化宏功能模块在VHDL中的使用   5.2.4 参数化宏功能模块在混合电路设计中的使用  5.3 MegaCore的安装及使用实例   5.3.1 MegaCore的安装   5.3.2 MegaCore的使用实例  小结  习题 第6章 VHDL设计应用实例  6.1 数字频率计的设计  6.2 数字钟的设计  6.3 函数发生器的设计  6.4 交通灯信号控制器的设计  6.5 乐曲演奏电路的设计  6.6 VGA显示控制器的设计  小结  习题 附录A VHDL关键字(保留字) 附录B VHDL编程中常见的错误 附录C 自己动手做PLD器件测试电路板的一般思路 参考文献 

编辑推荐

《EDA技术教程》:注重可读性,深入浅出便于自学注重实践性,列举典型工程实例注重系统性,理论指导设计实践《EDA技术教程》根据不断发展的EDA技术以及编者多年的教学经验和工程实践,并在参阅同类教材和相关文献的基础上编写完成。在知识结构、基本概念、应用实例等方面的安排和取舍上,既考虑了EDA技术理论的系统性、完整性和简洁性,又注重了EDA技术教学的可操作性和实践性,尽量做到用理论指导电子设计实践,用设计实例验证理论技术,实现了理论与实践的有机结合。

前言

EDA技术是近几年迅速发展起来的计算机软件、硬件和微电子交叉的现代电子设计学科,是现代电子工程领域的一门新技术。它是以可编程逻辑器件(PLD)为物质基础,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL)作为电子系统功能描述的主要方式,以电子系统设计为应用方向的电子产品自动化设计过程。目前,EDA技术已经成为现代电子设计领域的基本手段,随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制、计算机应用等领域的重要性日益突出。本书是根据不断发展的EDA技术以及编者多年的教学经验和工程实践,并在参阅同类教材和相关文献的基础上编写完成。在知识结构、基本概念、应用实例等方面的安排和取舍上,既考虑了EDA技术理论的系统性、完整性和简洁性,又注重了EDA技术教学的可操作性和实践性,尽量做到用理论指导电子设计实践,用设计实例验证理论技术,实现了理论与实践的有机结合。本书主要内容包括可编程逻辑器件介绍、EDA开发流程、VHDL语言、利用VHDL进行程序设计、Quartus II的使用和数字系统EDA设计举例。无论是器件的介绍,硬件描述语言的讲解,还是EDA工具软件的使用,都以目前市场上应用广泛的主流内容来展开,力求重点突出,避免面面俱到,纷杂而不深入。器件的介绍主要选取国内用户最多的Altera公司的典型器件;EDA工具也就选取Altera公司的Quartus Ⅱ作为讲解对象;硬件描述语言是EDA技术中的一个重要组成部分,VHDL是两个主流HDL之一,它以强大的系统描述能力、规范的程序设计结构、灵活的语句表达风格和多层次的仿真测试手段,受到了业界的普遍认同和广泛接受,在全球范围具有广泛的用户群。特别是在我国,90%以上的高校都以VHDL教学为主。本书在介绍VHDL时提出了新的思维模式,将VHDL语法知识与强化数字电路概念有机地结合起来。本书在VHDL基础知识介绍部分注重知识的实际应用,通过大量的程序实例加以深入说明,而在程序设计实践部分又注重程序实例所包含的基本语法知识的介绍,让读者在实践中总结语法的应用,实现了语法学习过程与程序设计实践过程的有机结合。作者将VHDL设计硬件电路的基本思想做了很好的归纳,有助于从总体上把握VHDL的使用技巧。此外,由于:EDA是一门实践性很强的技术,不能仅仅停留在理论学习上,本书特别注重对读者应用能力的培养,通过最后一章的设计实例,可以帮助读者较好地掌握用VHDL。进行电子系统设计的方法。

章节摘录

插图:EDA技术一般有广义和狭义之分。广义EDA技术指的是以计算机硬件和系统软件为基本工作平台,继承和借鉴前人在电路和系统、数据库、图形学、图论和拓扑逻辑、计算数学、优化理论等多学科的最新科技成果而研制的商品化EDA通用支撑软件和应用软件包,旨在帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试及PCB(印刷电路板)的自动设计。与早期电子CAD(Cmouter-Aided Design)软件相比,EDA软件的自动化程度更高,功能更完善,运行速度更快,而且操作界面友好,有良好的数据开放性和互换性,即不同厂商的EDA软件可相互兼容。因此,EDA技术很快在世界各大公司、企业和科研单位得到了广泛应用,它已成为衡量一个国家电子技术发展水平的重要标志。广义EDA技术的范畴应包括电子工程设计师开发产品的全过程,以及电子产品生产过程中期望由计算机提供的各种辅助功能。一方面,EDA技术可粗略地分为系统级、电路级和物理实现级3个层次上的辅助设计过程,另一方面,EDA技术应包括电子线路从低频到高频,从线性到非线性,从模拟到数字,从分立电路到集成电路的全部设计过程。

图书封面


 EDA技术教程下载



发布书评

 
 


 

农业基础科学,时尚,美术/书法,绘画,软件工程/开发项目管理,研究生/本专科,爱情/情感,动漫学堂PDF下载,。 PDF下载网 

PDF下载网 @ 2024