EDA技术与VHDL

当前位置:首页 > 教材 > 高职高专 > EDA技术与VHDL

出版社:北京大学出版社
出版日期:2009-3
ISBN:9787301144534
页数:265页

作者简介

《EDA技术与VHDL》主要内容有Altera公司可编程器件及器件的选用、QuartusⅡ开发工具的使用;VHDL硬件描述语言及丰富的数字电路和电子数字系统EDA设计实例。《EDA技术与VHDL》在取材和编排上,循序渐进,并注重理论联系实际。全书共分为9章:前3章阐述了Altera公司可编程器件CPLD和FPGA芯片的结构及特点,以及EDA技术设计与开发过程;第4、5章详尽介绍了VHDL的基本语言和实用技术,以及QuartusⅡ开发工具的使用;第6、7章列举大量设计实例来介绍典型数字系统的设计方法,帮助读者很好地掌握EDA的开发设计方法;第8章通过介绍GW48-PK2/CK实验与开发系统的使用方法,使读者了解VHDL逻辑设计所必需的硬件仿真和实验验证的方法与过程;第9章列出的实验程序均在QuartusⅡ开发环境下通过了仿真,并在GW48-PK2/CK实验与开发系统上通过了硬件测试,所选实例对大部分实验现象和结果进行了详细分析。
《EDA技术与VHDL》实用性、应用性强,适合作为高职高专类院校的专业教材,也可供有关专业人员参考。

书籍目录

第1章 EDA技术概述  1.1 EDA技术及其发展    1.1.1 EDA技术的发展    1.1.2 EDA技术的涵义    1.1.3 EDA技术的基本特征  1.2 EDA技术的主要内容及主要的EDA厂商    1.2.1 EDA技术的主要内容    1.2.2 主要EDA厂商概述  1.3 EDA技术实现目标    1.3.1 超大规模可编程逻辑器件    1.3.2 半定制或全定制ASIC    1.3.3 混合ASIC  1.4 EDA技术应用    1.4.1 EDA技术应用形式    1.4.2 EDA技术应用场合  1.5 EDA技术的发展趋势    1.5.1 可编程器件的发展趋势    1.5.2 软件开发工具的发展趋势    1.5.3 输入方式的发展趋势  本章小结  思考题和习题第2章 大规模可编程逻辑器件  2.1 可编程逻辑器件概述    2.1.1 PLD的发展进程    2.1.2 PLD的种类及分类方法  2.2 简单可编程逻辑器件    2.2.1 PLD电路的表示方法及有关符号    2.2.2 PROM基本结构    2.2.3 PLA基本结构    2.2.4 PAL基本结构    2.2.5 GAL基本结构  2.3 复杂可编程逻辑器件    2.3.1 CPLD基本结构    2.3.2 Altera公司器件  2.4 现场可编程逻辑器件    2.4.1 FPGA整体结构    2.4.2 Xilinx公司FPGA器件  2.5 在系统可编程逻辑器件    2.5.1 ispLSl/pLSl的结构    2.5.2 Lattice公司ispLSI系列器件  2.6 FPGA和CPLD的开发应用    2.6.1  CPLD和FPGA的编程与配置    2.6.2  FPGA和CPLD的性能比较    2.6.3 FPGA和CPLD的    应用选择    本章小结    思考题和习题第3章 EDA设计流程与开发  3.1 EDA设计流程    3.1.1 设计输入    3.1.2 综合    3.1.3 适配    3.1.4 时序仿真与功能仿真    3.1.5 编程下载    3.1.6 硬件测试  3.2 ASIC及其设计流程    3.2.1 ASIC设计方法    3.2.2 一般的ASIC设计流程  3.3 可编程逻辑器件的开发环境 ……第4章 硬件描述语言VHDL第5章 QuartusⅡ软件及其应用第6章 VHDL应用实例第7章 状态机设计第8章 EDA实验开发系统第9章 EDA技术实验参考文献

前言

  EDA技术是20世纪90年代初以来迅速发展起来的现代电子工程领域的一门新技术。它是以可编程逻辑器件CPLD/FPC-A为载体,计算机为工作平台,EDA工具软件为开发环境,硬件描述语言HDL作为电子系统功能描述方式,电子系统设计为应用方向的电子产品自动化设计过程。  为适应EDA技术的发展和EDA技术教学实验的要求,突出实验中EDA技术的实用性,以及面向工程实际的特点和电子设计的自主创新性,本书在实验类型和内容上都力求接近工程实际,注重学生设计能力和自主创新能力的培养,注重与工程实际相结合的动手能力的培养。另一方面,在CPLD/FPGA器件的理论知识方面尽量遵循能够理解即可的原则,主要掌握选择器件和使用器件的方法。  本书在内容的安排上,既考虑EDA技术本身的系统性和完整性,又考虑了EDA技术的实用性和实践性,做到理论与实践的有机结合。无论是器件和硬件描述语言的讲解,还是EDA工具软件、EDA应用系统的介绍,都以当前被广泛应用的主流内容为主,力求做到内容新颖、重点突出、讲解精炼、强化实践。EDA是一门实践性很强的技术,本书特别注重对读者应用能力的培养。通过对第6章、第7章及第9章大量的设计实例的学习,读者可以较好地掌握用VHDL进行电子系统设计的方法。第9章有多个实验,读者完全可以通过这些实际操作,很好地掌握EDA的开发设计方法。  本书是作者在多年开发和教授EDA技术经验的基础上编写而成的。书中所有设计程序均在QuartusⅡ开发环境下通过了仿真,并在杭州康芯公司生产的GW48一PK2/CK实验与开发系统上通过了硬件测试,实例对大部分实验现象和结果进行了详细分析。

章节摘录

  第1章 EDA技术概述  1.1 EDA技术及其发展  1.1.1 EDA技术的发展  现代电子设备如工作生活中所使用的微型计算机、手机、VCD,工业上使用的智能仪器仪表,网络设备中使用的交换机、路由器,通信设备中雷达、微波设备等现代电子装置,其核心构成都是数字电子系统。随着微电子技术和计算机技术的发展,集成电路不断更新换代,出现了现场可编程逻辑器件,数字电子系统的设计方法和设计手段也发生了很大的变化。进入20世纪末,EDA(电子设计自动化)技术的发展和普及给电子系统的设计带来了革命性的变化,并已渗透到电子系统设计的各个领域。由于EDA技术丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计技术、计算机辅助工程、可编程逻辑器件以及电子设计技术和工艺的发展是同步的。就过去近30年电子技术的发展历程来看,大致可以将EDA技术的发展分为3个阶段。  20世纪70年代,在集成电路制作方面,MOS工艺已得到广泛的应用。可编程逻辑技术及其器件已经问世,计算机作为一种运算工具已在科研领域得到广泛应用;而在后期,CAD的概念已见雏形。……

图书封面


 EDA技术与VHDL下载



发布书评

 
 


 

农业基础科学,时尚,美术/书法,绘画,软件工程/开发项目管理,研究生/本专科,爱情/情感,动漫学堂PDF下载,。 PDF下载网 

PDF下载网 @ 2024